Available Accellera Systems Initiative Standards

The following Accellera standards and supplemental material are available:

  • Functional Safety (White Paper)
  • IP Security Assurance (Security Annotation for Electronic Design Integration (SA-EDI))
  • IP-XACT (Recommended Vendor Extensions, User Guide and known issues documentation)
  • OCP 3.0 (Open Core Protocol & Supplemental Material)
  • OVL 2.8.1 (Open Verification Library)
  • PSS (Portable Test and Stimulus)
  • SCE-MI 2.4 (Standard Co-Emulation-Modeling Interface)
  • Soft IP Tagging 1.0
  • SystemC (Core Language including examples & TLM, AMS, CCI, Synthesis and Verification)
  • SystemRDL 2.0 (Specification for Register Description Language)
  • UCIS 1.0 (Unified Coverage Interoperability Standard)
  • UVM (Universal Verification Methodology Class Reference, User Guide, IEEE 1800.2 Reference Implementation)
  • Verilog-AMS (Analog Mixed-Signal)